E1.1 CÓDIGO QUARTUS

 E1.1 

CÓDIGO 

library ieee;

use ieee.std_logic_1164.all;

entity E1_1 is 

port

  (A, B, C, D: in std_logic;

  F: out std_logic);

end E1_1;

architecture db of E1_1 is 

 signal S1: std_logic_vector (3 downto 0);

begin

  S1 <= A & B & C & D; 

with S1 select

  F <= '1' when "0000" | "0010" | "0011" | "0100" | "0110" | "0111" | "1010" | "1011",

  '0' when others;

end db;


CÓDIGO 

library ieee;

use ieee.std_logic_1164.all;

entity E_1_1_G is 

port

  (A, B, C, D: in std_logic;

  G: out std_logic);

end E_1_1_G;

architecture db of E_1_1_G is 

 signal S1: std_logic_vector (3 downto 0);

begin

  S1 <= A & B & C & D; 

with S1 select

  G <= '1' when "0000" | "0100" | "1000" | "1001" | "1010" | "1011" | "1100" | "1101",

  '0' when others;

end db;

Comentarios

Entradas populares de este blog

E1.4 - Código - quartus