E1.5 CÓDIGO QUARTUS

 CÓDIGO 

library ieee;

use ieee.std_logic_1164.all;

entity E_1_5 is 

port

  (A, B, C, D: in std_logic;

  G: out std_logic);

end E_1_5;

architecture db of E_1_5 is 

 signal S1: std_logic_vector (3 downto 0);

begin

  S1 <= A & B & C & D; 

with S1 select

  G <= '0' when "0000" | "1111",

  '1' when others;

end db;

Comentarios

Entradas populares de este blog

E1.4 - Código - quartus